[ISLPED] Exploring the Relation between Monolithic 3D L1 GPU Cache Cap…

SMRL 0 1,902

Cong Thuan Do, Young-Ho Gong, Cheol Hong Kim, Seon Wook Kim, and Sung Woo Chung, “Exploring the Relation between Monolithic 3D L1 GPU Cache Capacity and Warp Scheduling Efficiency”, IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED 2019), Lausanne, Switzerland, July 2019.

  

 

 

Abstract

The warp scheduler plays an important role in the GPU for efficient utilization of hardware resources. However, the efficiency of the warp scheduler is often limited by the L1 cache (especially, L1 data cache) capacity; providing large capacity for an L1 cache is challenging due to the increased latency. In this paper, we adopt Monolithic 3D (M3D) technology to design a large capacity L1 cache for GPU performance enhancement, not deteriorating the latency. Our evaluation results show that the M3D L1 cache improves GPU performance by 2.18~2.24× on average, compared to the 2D conventional L1 cache.

Comments